Welcome![Sign In][Sign Up]
Location:
Search - rs232 interface circuit vhdl

Search list

[VHDL-FPGA-Veriloguart

Description: vhdl语言编写的实现uart协议的程序,用于rs232电气接口程序开发.支持比特率从2400-115200.-VHDL languages realize UART protocol procedures, electrical RS232 interface for program development. to support the bit rate from 2400-115200.
Platform: | Size: 5120 | Author: 陈想 | Hits:

[Software EngineeringCPLD_KEYBOARD

Description: 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve bit serial RS232 communication bus according to the determinant of matrix keyboard interface circuit with a reset, and serial data reception and transmission capabilities, according to light-emitting diode display led0-led2 status can be judged chip work to achieve all the circuit functions of the program are produced in the United States has ALTERA Field Programmable functions EPM7128SLC84-15 on-chip debug passed. The circuit design of daily life, practical, post-produced chips can be used as a general PC, the keyboard and the host interface.
Platform: | Size: 67584 | Author: jalon | Hits:

[VHDL-FPGA-VerilogRS232

Description: 用硬件描述语言VHDL进行串行通信接口电路设计,能通过RS232协议与PC机进行通信。-VHDL hardware description language used for serial communication interface circuit design, through the RS232 protocol to communicate with the PC unit.
Platform: | Size: 785408 | Author: tanzhde | Hits:

CodeBus www.codebus.net